IEEE Rules
IEEE_500
This rule checks IEEE types have the proper case.
Refer to Configuring Uppercase and Lowercase Rules for more information.
Violation
port (
WR_EN : in STD_LOGIC;
RD_EN : in STD_logic;
DATA : inout STD_LOGIC_VECTOR(31 downto 0)
);
Fix
port (
WR_EN : in std_logic;
RD_EN : in std_logic;
DATA : inout std_logic_vector(31 downto 0)
);