Record Type Definition Rules

record_type_definition_001

phase_1 error structure

This rule checks the location of the record keyword.

The default location is not on a line by itself.

Refer to Configuring Move Token Rules for more information.

Violation

type t_record is
record

Fix

type t_record is record

record_type_definition_002

phase_1 error structure

This rule checks for code after the record keyword.

Violation

type t_record is record a : std_logic;
  b : std_logic;
end record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record;

record_type_definition_003

phase_1 error structure

This rule checks the end keyword is on its own line.

Violation

type t_record is record
  a : std_logic;
  b : std_logic; end record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record;

record_type_definition_004

phase_1 error structure

This rule checks the is keyword is on the same line as the record keyword.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end
record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record
;

record_type_definition_005

phase_1 error structure structure_optional

This rule checks for the optional simple name in the end record statement.

Refer to Configuring Optional Items for more information.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_006

phase_1 error structure

This rule checks the optional simple name is on the same line as the record keyword.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end record
t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record
;

record_type_definition_007

phase_1 error structure

This rule checks the semicolon is on the same line as the record keyword.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record
;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_100

phase_2 error whitespace

This rule checks for a single space after the end keyword.

Refer to Configuring Whitespace Rules for options on changing the number of whitespaces..

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end         record t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_101

phase_2 error whitespace

This rule checks for a single space before the simple name.

Refer to Configuring Whitespace Rules for options on changing the number of whitespaces..

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end record    t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_200

phase_3 error blank_line

This rule checks for blank lines below the record keyword.

Refer to Configuring Blank Lines for more information.

Violation

type t_record is record

  a : std_logic;
  b : std_logic;
end record    t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_201

phase_3 error blank_line

This rule checks for blank lines above the end keyword.

Refer to Configuring Previous Line Rules for more information.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;

end record    t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_300

phase_4 error indent

This rule checks the indent of the record keyword if it is on its own line.

Violation

type t_record is
     record
  a : std_logic;
  b : std_logic;
end record t_record;

Fix

type t_record is
record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_301

phase_4 error indent

This rule checks the indent of the end keyword.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
    end record t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_500

phase_6 error case case_keyword

This rule checks the proper case of the record keyword.

Refer to Configuring Uppercase and Lowercase Rules for more information.

Violation

type t_record is RECORD
  a : std_logic;
  b : std_logic;
end record t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_501

phase_6 error case case_keyword

This rule checks the proper case of the end keyword.

Refer to Configuring Uppercase and Lowercase Rules for more information.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
END record t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;

record_type_definition_502

phase_6 error case case_keyword

This rule checks the proper case of the end record keyword.

Refer to Configuring Uppercase and Lowercase Rules for more information.

Violation

type t_record is record
  a : std_logic;
  b : std_logic;
end RECORD t_record;

Fix

type t_record is record
  a : std_logic;
  b : std_logic;
end record t_record;