Interation Scheme Rules

iteration_scheme_100

phase_2 error whitespace

This rule checks that a single space exists after the while keyword.

Refer to Configuring Whitespace Rules for options on changing the number of whitespaces..

Violation

while(condition)

while      (condition)

Fix

while (condition)

while (condition)

iteration_scheme_101

phase_2 error whitespace

This rule checks that a single space exists after the for keyword.

Refer to Configuring Whitespace Rules for options on changing the number of whitespaces..

Violation

for      x in (31 downto 0) loop

Fix

for x in (31 downto 0) loop

iteration_scheme_300

phase_4 error indent

This rule checks for indentation of the while keyword. Proper indentation enhances comprehension.

Violation

begin

while (temp /= 0) loop
    temp := temp/2;
  end loop;

Fix

begin

  while (temp /= 0) loop
    temp := temp/2;
  end loop;

iteration_scheme_301

phase_4 error indent

This rule checks the indentation of the for keyword.

Violation

fifo_proc : process () is
begin

for index in 4 to 23 loop

  end loop;

end process;

Fix

fifo_proc : process () is
begin

  for index in 4 to 23 loop

  end loop;

end process;

iteration_scheme_500

phase_6 error case case_keyword

This rule checks the while keyword has proper case.

Refer to Configuring Uppercase and Lowercase Rules for more information.

Violation

WHILE (condition) loop

Fix

while (condition) loop

iteration_scheme_501

phase_6 error case case_keyword

This rule checks the for keyword has proper case.

Refer to Configuring Uppercase and Lowercase Rules for more information.

Violation

FOR x in (31 downto 0) loop

Fix

for x in (31 downto 0) loop