Entity Rules

entity_001

This rule checks the indent of the entity keyword.

Violation

library ieee;

  entity FIFO is

Fix

library ieee;

entity FIFO is

entity_002

This rule checks for a single space after the entity keyword.

Violation

entity    FIFO is

Fix

entity FIFO is

entity_003

This rule checks for a blank line above the entity keyword.

Violation

library ieee;
entity FIFO is

Fix

library ieee;

entity FIFO is

entity_004

This rule checks the entity keyword has proper case.

Note

The default is lowercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

ENTITY FIFO is

Fix

entity FIFO is

entity_005

This rule checks the is keyword is on the same line as the entity keyword.

Violation

entity FIFO

entity FIFO
  is

Fix

entity FIFO is

entity FIFO is

entity_006

This rule checks the is keyword has proper case in the entity declaration.

Note

The default is lowercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

entity FIFO IS

Fix

entity FIFO is

entity_007

This rule checks for a single space before the is keyword.

Violation

entity FIFO    is

Fix

entity FIFO is

entity_008

This rule checks the entity name has proper case in the entity declaration.

Note

The default is uppercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

entity fifo is

Fix

entity FIFO is

entity_009

This rule checks the indent of the end keyword.

Violation

  WR_EN : in    std_logic;
  RD_EN : in    std_logic
);
  end entity FIFO;

Fix

    WR_EN : in    std_logic;
    RD_EN : in    std_logic
  );
end entity FIFO;

entity_010

This rule checks the end keyword has proper case.

Note

The default is lowercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

END entity FIFO;

Fix

end entity FIFO;

entity_011

This rule checks for a single space after the end keyword.

Violation

end    entity FIFO;

Fix

end entity FIFO;

entity_012

This rule checks the case of the entity name in the end entity statement.

Note

The default is uppercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

end entity fifo;

Fix

end entity FIFO;

entity_013

This rule checks for a single space after the entity keyword in the closing of the entity declaration.

Violation

end entity    FIFO;

Fix

end entity FIFO;

entity_014

This rule checks the entity keyword has proper case in the closing of the entity declaration.

Note

The default is lowercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

end ENTITY FIFO;

Fix

end entity FIFO;

entity_015

This rule checks for the keyword entity in the end entity statement.

Violation

end FIFO;

end;

Fix

end entity FIFO;

end entity;

entity_016

This rule checks for blank lines above the end entity keywords.

Violation

    WR_EN : in    std_logic;
    RD_EN : in    std_logic
  );


end entity FIFO;

Fix

    WR_EN : in    std_logic;
    RD_EN : in    std_logic
  );
end entity FIFO;

entity_017

This rule checks for alignment of the :’s in for every port in the entity.

Violation

WR_EN : in    std_logic;
RD_EN : in    std_logic;
OVERLFLOW : out   std_logic;

Fix

WR_EN     : in    std_logic;
RD_EN     : in    std_logic;
OVERLFLOW : out   std_logic;

entity_018

This rule checks for alignment of inline comments in the entity

Violation

WR_EN     : in    std_logic;      -- Wrte enable
RD_EN     : in    std_logic; -- Read enable
OVERLFLOW : out   std_logic;   -- FIFO has overflowed

Fix

WR_EN     : in    std_logic;      -- Wrte enable
RD_EN     : in    std_logic;      -- Read enable
OVERLFLOW : out   std_logic;      -- FIFO has overflowed

entity_019

This rule checks for the entity name in the end entity statement.

Violation

end entity;

Fix

end entity ENTITY_NAME;