Whitespace Rules

whitespace_001

phase_1 error whitespace

This rule check for trailing spaces.

Violation

Where periods indicate spaces:

library ieee;....

Fix

library ieee;

whitespace_002

This rule has been deprecated.

VSG changes tabs to spaces when a file is read in.

whitespace_003

phase_2 error whitespace

This rule checks for spaces before semicolons.

Violation

wr_en : in    std_logic      ;

Fix

wr_en : in    std_logic;

whitespace_004

phase_2 error whitespace

This rule checks for spaces before commas.

Violation

wr_en => wr_en    ,
rd_en => rd_en,

Fix

wr_en => wr_en,
rd_en => rd_en,

whitespace_005

phase_2 error whitespace

This rule checks for spaces after an open parenthesis.

Note

Spaces before numbers are allowed.

Violation

signal data        : std_logic_vector(31 downto 0);
signal byte_enable : std_logic_vector( 3 downto 0);
signal width       : std_logic_vector(  g_width - 1 downto 0);

Fix

signal data        : std_logic_vector(31 downto 0);
signal byte_enable : std_logic_vector( 3 downto 0);
signal width       : std_logic_vector(g_width - 1 downto 0);

whitespace_006

phase_2 error whitespace

This rule checks for spaces before a close parenthesis.

Violation

signal data        : std_logic_vector(31 downto 0    );
signal byte_enable : std_logic_vector( 3 downto 0 );
signal width       : std_logic_vector(g_width - 1 downto 0);

Fix

signal data        : std_logic_vector(31 downto 0);
signal byte_enable : std_logic_vector( 3 downto 0);
signal width       : std_logic_vector(g_width - 1 downto 0);

whitespace_007

phase_2 error whitespace

This rule checks for spaces after a comma.

Violation

proc : process (wr_en,rd_en,overflow) is

Fix

proc : process (wr_en, rd_en, overflow) is

whitespace_008

phase_2 error whitespace

This rule checks for spaces after the std_logic_vector keyword.

Violation

signal data    : std_logic_vector (7 downto 0);
signal counter : std_logic_vector    (7 downto 0);

Fix

signal data    : std_logic_vector(7 downto 0);
signal counter : std_logic_vector(7 downto 0);

whitespace_010

phase_2 error whitespace

This rule checks for spaces before and after the concate (&) operator.

Violation

a <= b&c;

Fix

a <= b & c;

whitespace_011

phase_2 error whitespace

This rule checks for at least a single space before and after math operators +, -, /, * and **.

Violation

a <= b+c;
a <= b-c;
a <= b/c;
a <= b*c;
a <= b**c;
a <= (b+c)-(d-e);

Fix

a <= b + c;
a <= b - c;
a <= b / c;
a <= b * c;
a <= b ** c;
a <= (b + c) - (d - e);

whitespace_012

phase_3 error blank_line

This rule enforces a maximum number of consecutive blank lines.

Violation

a <= b;


c <= d;

Fix

a <= b;

c <= d;

Note

The default is set to 1. This can be changed by setting the numBlankLines attribute to another number.

{
    "rule":{
        "whitespace_012":{
            "numBlankLines":3
        }
    }
}

whitespace_013

phase_2 error whitespace

This rule checks for at least a single space before and after logical operators.

Violation

if (a = '1')and(b = '0')
if (a = '0')or (b = '1')

Fix

if (a = '1') and (b = '0')
if (a = '0') or (b = '1')

whitespace_200

phase_3 error blank_line

This rule removes consecutive blank lines.

Violation

a <= b;


c <= d;

Fix

a <= b;

c <= d;