Configuring Multiline Assert Rule

There is a rule which will check indent of multiline assert statements. The method of indenting can be configured using one of the following options:

Option Values Default Value Description
alignment left, report left
  • The setting left enforces multiline report statements using indents.
  • The setting report aligns multiline report statements to the report keyword.

Example: alignment set to report

Setting the alignment option to report will align report expressions with the report keyword.

assert WIDTH > 16
  report "FIFO width is limited" &
         " to 16 bits."
  severity FAILURE;

Example: alignment set to :code:’left’

Setting the alignment option to left will align report expressions an additional indent level form the report keyword.

assert WIDTH > 16
  report "FIFO width is limited" &
    " to 16 bits."
  severity FAILURE;