Variable Rules

variable_001

This rule checks the indent of variable declarations.

Violation

PROC : process () is

variable count : integer;
      variable counter : integer;

begin

Fix

PROC : process () is

  variable count : integer;
  variable counter : integer;

begin

variable_002

This rule checks the variable keyword has proper case.

Note

The default is lowercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

VARIABLE count : integer;

Fix

variable count : integer;

variable_003

This rule checks for a single space after the variable keyword.

Violation

variable     count : integer;

Fix

variable count : integer;

variable_004

This rule checks the variable name has proper case.

Note

The default is lowercase.

Refer to the section Configuring Uppercase and Lowercase Rules for information on changing the default case.

Violation

variable COUNT : integer;

Fix

variable count : integer;

variable_005

This rule checks there is a single space after the colon.

Violation

variable count   :integer;
variable counter :     integer;

Fix

variable count   : integer;
variable counter : integer;

variable_006

This rule checks for at least a single space before the colon.

Violation

variable count: integer;
variable counter : integer;

Fix

variable count : integer;
variable counter : integer;

variable_007

This rule checks for default assignments in variable declarations.

Violation

variable count : integer := 32;

Fix

variable count : integer;

variable_009

This rule checks the alignment of colons over multiple lines in the architecture declarative region.

Violation

architecture ARCH of ENTITY1 is

  variable count : integer;
  variable counter : integer;

begin

Fix

architecture ARCH of ENTITY1 is

  variable count   : integer;
  variable counter : integer;

begin

variable_010

This rule checks the variable type has proper case.

Note

The default is lowercase.

Violation

variable count : INTEGER;

Fix

variable count : integer;

variable_011

This rule checks for consistent capitalization of variable names.

Violation

architecture RTL of ENTITY1 is

  shared variable var1 : std_logic;
  shared variable var2 : std_logic;

begin

  PROC_NAME : process () is

    variable var3 : std_logic;
    variable var4 : std_logic;

  begin

    Var1 <= '0';

    if (VAR2 = '0') then
      vaR3 <= '1';
    elisif (var2 = '1') then
      VAR4 <= '0';
    end if;

  end process PROC_NAME;

end architecture RTL;

Fix

PROC_NAME : process () is

  variable var1 : std_logic;
  variable var2 : std_logic;
  variable var3 : std_logic;
  variable var4 : std_logic;

begin

  var1 <= '0';

  if (var2 = '0') then
    var3 <= '1';
  elisif (var2 = '1') then
    var4 <= '0';
  end if;

end process PROC_NAME;

variable_012

This rule checks for valid prefixes on variable identifiers.

Note

The default variable prefix is “v_”.

Refer to the section Configuring Prefix and Suffix Rules for information on changing the allowed prefixes.

Violation

variable my_var : natural;

Fix

variable v_my_var : natural;