Whitespace Rules

whitespace_001

This rule checks for spaces at the end of lines.

Violation

entity FIFO is

Fix

entity FIFO is

whitespace_002

This rule checks for tabs.

Violation

port (
    WR_EN : in    std_logic;

Fix

port (
  WR_EN : in    std_logic;

whitespace_003

This rule checks for spaces before semicolons.

Violation

WR_EN : in    std_logic      ;

Fix

WR_EN : in    std_logic;

whitespace_004

This rule checks for spaces before commas.

Violation

WR_EN => wr_en    ,
RD_EN => rd_en,

Fix

WR_EN => wr_en,
RD_EN => rd_en,

whitespace_005

This rule checks for spaces after an open parenthesis.

Note

Spaces before numbers are allowed.

Violation

signal data        : std_logic_vector(31 downto 0);
signal byte_enable : std_logic_vector( 3 downto 0);
signal width       : std_logic_vector(  G_WIDTH - 1 downto 0);

Fix

signal data        : std_logic_vector(31 downto 0);
signal byte_enable : std_logic_vector( 3 downto 0);
signal width       : std_logic_vector(G_WIDTH - 1 downto 0);

whitespace_006

This rule checks for spaces before a close parenthesis.

Violation

signal data        : std_logic_vector(31 downto 0    );
signal byte_enable : std_logic_vector( 3 downto 0 );
signal width       : std_logic_vector(G_WIDTH - 1 downto 0);

Fix

signal data        : std_logic_vector(31 downto 0);
signal byte_enable : std_logic_vector( 3 downto 0);
signal width       : std_logic_vector(G_WIDTH - 1 downto 0);

whitespace_007

This rule checks for spaces after a comma.

Violation

PROC : process (wr_en,rd_en,overflow) is

Fix

PROC : process (wr_en, rd_en, overflow) is

whitespace_008

This rule checks for spaces after the std_logic_vector keyword.

Violation

signal data    : std_logic_vector (7 downto 0);
signal counter : std_logic_vector    (7 downto 0);

Fix

signal data    : std_logic_vector(7 downto 0);
signal counter : std_logic_vector(7 downto 0);

whitespace_010

This rule checks for spaces before and after the concate (&) operator.

Violation

a <= b&c;

Fix

a <= b & c;

whitespace_011

This rule checks for spaces before and after math operators +, -, /, and *.

Violation

a <= b+c;
a <= b-c;
a <= b/c;
a <= b*c;
a <= b**c;
a <= (b+c)-(d-e);

Fix

a <= b + c;
a <= b - c;
a <= b / c;
a <= b * c;
a <= b ** c;
a <= (b + c) - (d - e);

whitespace_012

This rule enforces a maximum number of consecutive blank lines.

Violation

a <= b;

c <= d;

Fix

a <= b;

c <= d;

Note

The default is set to 1. This can be changed by setting the numBlankLines attribute to another number.

{
    "rule":{
        "whitespace_012":{
            "numBlankLines":3
        }
    }
}

whitespace_013

This rule checks for spaces before and after logical operators.

Violation

if (a = ‘1’)and(b = ‘0’) if (a = ‘0’)or (b = ‘1’)

Fix

if (a = ‘1’) and (b = ‘0’) if (a = ‘0’) or (b = ‘1’)